ページを選択

月: 2018年8月

カバレッジを始めよう!#3~関数カバレッジとコールカバレッジ~

今回の記事では、「関数カバレッジ」と「コールカバレッジ」をご紹介します。これらのカバレッジは、主に統合テストフェーズで計測されるカバレッジです。統合テストフェーズでは、統合した関数やモジュールが、正常に動作するのか確認するテストを行います。そこで使用される「関数カバレッジ」と「コールカバレッジ」とは、どのような基準なのかご紹介します。

続きを読む

検証!ファイル書き出しのオーバーヘッドを比較してみた!#3

今回は、同じWindows環境で複数スレッドを対象としたときの最速の「ファイル書き出し」の手法は何かを検証してみてみたいと思います。特に、複数スレッドを対象するときは、情報を書き出すファイルもしくはリソースに対して複数のスレッドからの同時アクセスが発生します。このため、各スレッドのアクセス権限の調停をはかるため「排他処理」が必須になります。

続きを読む